Ligile mele

Аlte campionate

json_m

Comunicaciones - Club Xelajú MC

21°C
25/02/2024 01:00 GMT
1
0 - 0 FT
Gazda - Oaspete
Probabilitate in %
1X2
Pr.
Pron. rez.
Numarul golurilor
Timp
Coef.cel mai inalt
Rezultat
Coef.
live
Etapa 31, Clausura
Gt1
522721
1
3 - 0
2.99
21°
1.44
1.44 3.75 6.50 no no no
FT
0 - 0(0 - 0)
-
- - -
Meciurile intre cele doua echipe
15/03
2015
1 - 0 ( - )
Gt1
22/01
2015
2 - 0 ( - )
Gt1
06/11
2014
1 - 0 ( - )
Gt1
24/08
2014
1 - 3 ( - )
Gt1
12/05
2014
0 - 1 ( - )
Gt1
09/05
2014
3 - 1 ( - )
Gt1
06/04
2014
1 - 1 ( - )
Gt1
13/02
2014
0 - 0 ( - )
Gt1
07/11
2013
2 - 0 ( - )
Gt1
02/10
2013
1 - 2 ( - )
Gt1
09/06
2013
2 - 1 ( - )
Gt1
06/06
2013
1 - 2 ( - )
Gt1
19/05
2013
1 - 0 ( - )
Gt1
10/03
2013
1 - 1 ( - )
Gt1
25/11
2012
1 - 2 ( - )
Gt1
16/09
2012
2 - 0 ( - )
Gt1
01/04
2012
1 - 0 ( - )
Gt1
05/02
2012
3 - 1 ( - )
Gt1
11/12
2011
2 - 1 ( - )
Gt1
08/12
2011
3 - 0 ( - )
Gt1
30/10
2011
1 - 1 ( - )
Gt1
21/08
2011
1 - 0 ( - )
Gt1
09/04
2011
2 - 0 ( - )
Gt1
13/02
2011
2 - 2 ( - )
Gt1
28/11
2010
1 - 1 ( - )
Gt1
02/10
2010
1 - 1 ( - )
Gt1
14/03
2010
2 - 2 ( - )
Gt1
17/01
2010
1 - 0 ( - )
Gt1
14/12
2009
1 - 1 ( - )
Gt1
11/12
2009
0 - 0 ( - )
Gt1
25/10
2009
3 - 0 ( - )
Gt1
23/08
2009
1 - 1 ( - )
Gt1
19/04
2009
3 - 2 ( - )
Gt1
22/02
2009
0 - 1 ( - )
Gt1
14/12
2008
5 - 2 ( - )
Gt1
11/12
2008
1 - 0 ( - )
Gt1
23/11
2008
1 - 1 ( - )
Gt1
14/09
2008
1 - 3 ( - )
Gt1
20/04
2008
0 - 3 ( - )
Gt1
21/02
2008
1 - 1 ( - )
Gt1
04/10
2007
1 - 0 ( - )
Gt1
05/08
2007
0 - 0 ( - )
Gt1
06/05
2007
1 - 2 ( - )
Gt1
25/02
2007
1 - 0 ( - )
Gt1
15/10
2006
1 - 2 ( - )
Gt1
13/08
2006
1 - 0 ( - )
Gt1
04/04
2001
2 - 0 ( - )
Gt1
14/02
2001
1 - 1 ( - )
Gt1
29/11
2000
0 - 0 ( - )
Gt1
17/09
2000
4 - 0 ( - )
Gt1
Comunicaciones 3
50%
Egalitati 2
33%
Club Xelajú MC 1
17%
Vezi complet
Clasare 25/02/2024
Apertura Group A PCT MJ V E Î GM GP +/-
1
Comunicaciones 26 16 7 5 4 27 20 7
2
Malacateco 24 16 7 3 6 24 24 0
3
Club Xelajú MC 19 16 5 4 7 10 13 -3
4
Cobán Imperial 18 16 4 6 6 19 25 -6
5
CSD Xinabajul 16 16 3 7 6 18 25 -7
6
Coatepecano IB 11 16 2 5 9 12 24 -12
Play-off Quarter-finals
Apertura Group B PCT MJ V E Î GM GP +/-
1
Deportivo Achuapa 30 16 9 3 4 27 23 4
2
CSD Municipal 28 16 8 4 4 27 17 10
3
Antigua GFC 28 16 8 4 4 24 19 5
4
C.D. Guastatoya 23 16 7 2 7 21 16 5
5
Zacapa Tellioz 22 16 7 1 8 22 20 2
6
Deportivo Mixco 19 16 5 4 7 15 20 -5
Play-off Quarter-finals
Clausura PCT MJ V E Î GM GP +/-
1
Cobán Imperial 14 7 4 2 1 16 10 6
2
CSD Municipal 13 7 4 1 2 13 8 5
3
Deportivo Mixco 13 7 4 1 2 9 4 5
4
Antigua GFC 12 7 4 0 3 9 7 2
5
Comunicaciones 11 5 3 2 0 9 3 6
6
C.D. Guastatoya 10 6 3 1 2 10 7 3
7
Malacateco 10 7 3 1 3 13 13 0
8
Club Xelajú MC 9 6 3 0 3 6 7 -1
9
CSD Xinabajul 6 6 1 3 2 5 9 -4
10
Deportivo Achuapa 6 7 2 0 5 4 10 -6
11
Zacapa Tellioz 4 6 1 1 4 2 11 -9
12
Coatepecano IB 0 5 0 0 5 6 13 -7
Play-off Quarter-finals
Victorii 2
33%
Egalitati 2
33%
Pierderi 2
33%
Vezi complet
Victorii 3
50%
Egalitati 0
0%
Pierderi 3
50%
Vezi complet
Victorii 3
50%
Egalitati 2
33%
Pierderi 1
17%
Vezi complet
meciuri in calitate de oaspete
CLX
Intreaga statistica
Comunicaciones
Meciuri jucate: 29
Club Xelajú MC
Meciuri jucate: 26
Goluri
(Marcate/Primite)
46
1.59
1.21
35
24
0.92
0.92
24
Sub/Peste
1.5
4 25
14% 86%
12 14
46% 54%
2.5
14 15
48% 52%
18 8
69% 31%
3.5
21 8
72% 28%
23 3
88% 12%
Meciuri Gol-Gol (Da/Nu)
16 13
55% 45%
9 17
35% 65%
Nici un gol nu este permis (Da/Nu)
9 20
31% 69%
8 18
31% 69%
Marcat un gol (Da/Nu)
23 6
79% 21%
14 12
54% 46%
Meciuri urmatoare
Comunicaciones
Uşor 1
5 Greu
Club Xelajú MC
Comunicaciones Meciuri urmatoare
Club Xelajú MC Meciuri urmatoare

Predictie al zilei


Video Highlight