Ligile mele

Аlte campionate

json_m

Antigua GFC - CSD Municipal

20°C
29/02/2024 03:00 GMT
X
1 - 0 FT
Gazda - Oaspete
Probabilitate in %
1X2
Pr.
Pron. rez.
Numarul golurilor
Timp
Coef.cel mai inalt
Rezultat
Coef.
live
Etapa 32, Clausura
Gt1
293635
X
1 - 1
1.64
20°
3.00
2.30 3.00 3.00 no no no
FT
1 - 0(1 - 0)
-
- - -
Meciurile intre cele doua echipe
15/03
2015
4 - 2 ( - )
Gt1
21/01
2015
0 - 0 ( - )
Gt1
06/12
2014
1 - 0 ( - )
Gt1
03/12
2014
1 - 1 ( - )
Gt1
19/10
2014
0 - 0 ( - )
Gt1
30/07
2014
1 - 1 ( - )
Gt1
25/03
2001
1 - 0 ( - )
Gt1
31/01
2001
2 - 2 ( - )
Gt1
10/12
2000
5 - 1 ( - )
Gt1
01/10
2000
3 - 1 ( - )
Gt1
Antigua GFC 2
33%
Egalitati 0
0%
CSD Municipal 4
67%
Vezi complet
Clasare 29/02/2024
Apertura Group A PCT MJ V E Î GM GP +/-
1
Comunicaciones 26 16 7 5 4 27 20 7
2
Malacateco 24 16 7 3 6 24 24 0
3
Club Xelajú MC 19 16 5 4 7 10 13 -3
4
Cobán Imperial 18 16 4 6 6 19 25 -6
5
CSD Xinabajul 16 16 3 7 6 18 25 -7
6
Coatepecano IB 11 16 2 5 9 12 24 -12
Play-off Quarter-finals
Apertura Group B PCT MJ V E Î GM GP +/-
1
Deportivo Achuapa 30 16 9 3 4 27 23 4
2
CSD Municipal 28 16 8 4 4 27 17 10
3
Antigua GFC 28 16 8 4 4 24 19 5
4
C.D. Guastatoya 23 16 7 2 7 21 16 5
5
Zacapa Tellioz 22 16 7 1 8 22 20 2
6
Deportivo Mixco 19 16 5 4 7 15 20 -5
Play-off Quarter-finals
Clausura PCT MJ V E Î GM GP +/-
1
Cobán Imperial 17 8 5 2 1 19 10 9
2
Deportivo Mixco 16 8 5 1 2 11 5 6
3
CSD Municipal 13 7 4 1 2 13 8 5
4
Malacateco 13 8 4 1 3 15 14 1
5
Comunicaciones 12 7 3 3 1 9 6 3
6
Antigua GFC 12 7 4 0 3 9 7 2
7
C.D. Guastatoya 11 8 3 2 3 13 11 2
8
Club Xelajú MC 10 7 3 1 3 6 7 -1
9
CSD Xinabajul 7 7 1 4 2 6 10 -4
10
Deportivo Achuapa 6 7 2 0 5 4 10 -6
11
Zacapa Tellioz 5 7 1 2 4 4 13 -9
12
Coatepecano IB 1 7 0 1 6 8 16 -8
Play-off Quarter-finals
Victorii 3
50%
Egalitati 0
0%
Pierderi 3
50%
Vezi complet
Victorii 3
50%
Egalitati 1
17%
Pierderi 2
33%
Vezi complet
Victorii 5
83%
Egalitati 1
17%
Pierderi 0
0%
Vezi complet
meciuri in calitate de oaspete
MUN
Victorii 2
33%
Egalitati 2
33%
Pierderi 2
33%
Vezi complet
Intreaga statistica
Antigua GFC
Meciuri jucate: 25
CSD Municipal
Meciuri jucate: 25
Goluri
(Marcate/Primite)
33
1.32
1.12
28
41
1.64
1.12
28
Sub/Peste
1.5
8 17
32% 68%
7 18
28% 72%
2.5
14 11
56% 44%
12 13
48% 52%
3.5
18 7
72% 28%
16 9
64% 36%
Meciuri Gol-Gol (Da/Nu)
10 15
40% 60%
11 14
44% 56%
Nici un gol nu este permis (Da/Nu)
12 13
48% 52%
8 17
32% 68%
Marcat un gol (Da/Nu)
20 5
80% 20%
18 7
72% 28%
Meciuri urmatoare
Antigua GFC
Uşor 1
5 Greu
CSD Municipal
Antigua GFC Meciuri urmatoare
29/02
2024
Gt1
4
03/03
2024
Gt1
1
10/03
2024
Gt1
3
CSD Municipal Meciuri urmatoare
29/02
2024
Gt1
4
09/03
2024
Gt1
1

Predictie al zilei


Video Highlight