Mes ligues

Championnats

json_m

Antigua GFC - Club Xelajú MC

15/11/2015 00:00 GMT
1
3 - 0
Hôte - Invité
Probabilité %
1X2
Préd.
Prédiction rés.
Nombres de buts
Temps
C.
Résultat
Tour 18, Apertura
Gt1
442333
1
2 - 1
2.55
-
- - -
3 - 0
Les matches entre les deux équipes
10/05
2015
3 - 0 ( - )
Gt1
08/05
2015
1 - 0 ( - )
Gt1
12/04
2015
1 - 0 ( - )
Gt1
08/02
2015
1 - 1 ( - )
Gt1
16/11
2014
1 - 1 ( - )
Gt1
21/09
2014
0 - 0 ( - )
Gt1
13/05
2001
1 - 2 ( - )
Gt1
09/05
2001
0 - 0 ( - )
Gt1
04/02
2001
2 - 1 ( - )
Gt1
04/02
2001
1 - 2 ( - )
Gt1
16/12
2000
3 - 0 ( - )
Gt1
13/12
2000
1 - 1 ( - )
Gt1
03/12
2000
0 - 2 ( - )
Gt1
27/09
2000
1 - 0 ( - )
Gt1
Antigua GFC 1
17%
Égalités 2
33%
Club Xelajú MC 3
50%
Voir tout
Classement 15/11/2015
Apertura PTS J V N D BP BC +/-
1
Club Xelajú MC 35 18 11 2 5 18 12 6
2
Suchitepéquez 30 18 9 3 6 30 19 11
3
C.D. Guastatoya 30 18 8 6 4 27 16 11
4
Comunicaciones 30 18 9 3 6 22 16 6
5
Antigua GFC 30 18 9 3 6 23 18 5
6
CSD Municipal 27 18 8 3 7 27 23 4
7
Cobán Imperial 27 18 7 6 5 15 17 -2
8
CD Marquense 24 18 7 3 8 17 21 -4
9
CD Petapa 23 18 6 5 7 19 28 -9
10
Deportivo Mictlán 19 18 5 4 9 17 21 -4
11
Universidad SAC 14 18 3 5 10 27 31 -4
12
Malacateco 11 18 2 5 11 18 38 -20
Clausura PTS J V N D BP BC +/-
1
Club Xelajú MC 0 0 0 0 0 0 0 0
2
Suchitepéquez 0 0 0 0 0 0 0 0
3
Comunicaciones 0 0 0 0 0 0 0 0
4
C.D. Guastatoya 0 0 0 0 0 0 0 0
5
Antigua GFC 0 0 0 0 0 0 0 0
6
CSD Municipal 0 0 0 0 0 0 0 0
7
CD Marquense 0 0 0 0 0 0 0 0
8
Cobán Imperial 0 0 0 0 0 0 0 0
9
CD Petapa 0 0 0 0 0 0 0 0
10
Deportivo Mictlán 0 0 0 0 0 0 0 0
11
Malacateco 0 0 0 0 0 0 0 0
12
Universidad SAC 0 0 0 0 0 0 0 0
Victoires 3
50%
Égalités 1
17%
Pertes 2
33%
Voir tout
visites
CLU
Victoires 1
17%
Égalités 1
17%
Pertes 4
67%
Voir tout
Statistiques complètes
Antigua GFC
Matches joués: 18
Club Xelajú MC
Matches joués: 18
Buts
(Marqués/Encaissés)
23
1.28
1
18
18
1
0.67
12
Moins/Plus
1.5
6 12
33% 67%
10 8
56% 44%
2.5
9 9
50% 50%
13 5
72% 28%
3.5
15 3
83% 17%
17 1
94% 6%
Les deux équipes marquent (Oui/Non)
9 9
50% 50%
4 14
22% 78%
Aucun but encaissé (Oui/Non)
6 12
33% 67%
11 7
61% 39%
Marqué un but (Oui/Non)
13 5
72% 28%
13 5
72% 28%
matches prochains
Antigua GFC
Facile 1
5 Difficile
Club Xelajú MC
Antigua GFC matches prochains
15/11
2015
Gt1
3
22/11
2015
Gt1
2
25/11
2015
Gt1
4
29/11
2015
Gt1
 
16/01
2016
Gt1
3
23/01
2016
Gt1
4
Club Xelajú MC matches prochains
15/11
2015
Gt1
5
22/11
2015
Gt1
4
16/01
2016
Gt1
5
23/01
2016
Gt1
4

Match sélectionné


Prédiction de la journée


Video Highlight