Ligile mele

Аlte campionate

json_m

CSD Municipal - Antigua GFC

13° - 27°C
26/03/2017 22:00 GMT
1
2 - 2 FT
Gazda - Oaspete
Probabilitate in %
1X2
Pr.
Pron. rez.
Numarul golurilor
Timp
Coef.cel mai inalt
Rezultat
Coef.
live
Etapa 41, Clausura
Gt1
464014
1
1 - 0
2.11
13°-27°
1.67
1.67 3.25 5.25
FT
2 - 2(0 - 0)
-
- - -
Meciurile intre cele doua echipe
15/03
2015
4 - 2 ( - )
Gt1
21/01
2015
0 - 0 ( - )
Gt1
06/12
2014
1 - 0 ( - )
Gt1
03/12
2014
1 - 1 ( - )
Gt1
19/10
2014
0 - 0 ( - )
Gt1
30/07
2014
1 - 1 ( - )
Gt1
25/03
2001
1 - 0 ( - )
Gt1
31/01
2001
2 - 2 ( - )
Gt1
10/12
2000
5 - 1 ( - )
Gt1
01/10
2000
3 - 1 ( - )
Gt1
CSD Municipal 4
67%
Egalitati 2
33%
Antigua GFC 0
0%
Vezi complet
Clasare 26/03/2017
Apertura PCT MJ V E Î GM GP +/-
1
CSD Municipal 44 22 13 5 4 36 19 17
2
Antigua GFC 41 22 13 2 7 37 29 8
3
Comunicaciones 39 22 12 3 7 35 15 20
4
Malacateco 36 22 10 6 6 29 23 6
5
C.D. Guastatoya 36 22 11 3 8 22 20 2
6
Cobán Imperial 34 22 10 4 8 26 19 7
7
Club Xelajú MC 29 22 8 5 9 24 29 -5
8
CD Marquense 26 22 7 5 10 25 30 -5
9
CD Petapa 25 22 7 4 11 23 31 -8
10
Suchitepéquez 24 22 6 6 10 28 41 -13
11
Deportivo Mictlán 22 22 7 1 14 19 31 -12
12
Deportivo Carchá 15 22 3 6 13 16 33 -17
Play-off Semi-finals
Play-off Quarter-finals
Clausura PCT MJ V E Î GM GP +/-
1
CSD Municipal 27 13 7 6 0 12 3 9
2
CD Marquense 19 13 4 7 2 11 8 3
3
Cobán Imperial 19 13 5 4 4 11 9 2
4
Antigua GFC 18 13 5 3 5 17 14 3
5
CD Petapa 18 13 5 3 5 14 14 0
6
Deportivo Mictlán 17 13 4 5 4 8 13 -5
7
Malacateco 16 13 3 7 3 11 12 -1
8
Comunicaciones 15 13 4 3 6 13 11 2
9
C.D. Guastatoya 15 13 3 6 4 9 8 1
10
Club Xelajú MC 15 13 4 3 6 10 13 -3
11
Deportivo Carchá 15 13 4 3 6 8 12 -4
12
Suchitepéquez 14 13 4 2 7 9 16 -7
Play-off Semi-finals
Play-off Quarter-finals
Victorii 3
50%
Egalitati 3
50%
Pierderi 0
0%
Vezi complet
19/10
2016
1 - 3 ( - )
CNL
28/09
2016
0 - 0 ( - )
CNL
24/08
2016
1 - 1 ( - )
CNL
04/08
2016
3 - 0 ( - )
CNL
Victorii 0
0%
Egalitati 2
33%
Pierderi 4
67%
Vezi complet
meciuri in calitate de oaspete
ANT
Victorii 3
50%
Egalitati 1
17%
Pierderi 2
33%
Vezi complet
Intreaga statistica
CSD Municipal
Meciuri jucate: 39
Antigua GFC
Meciuri jucate: 43
Goluri
(Marcate/Primite)
53
1.36
0.62
24
58
1.35
1.26
54
Sub/Peste
1.5
16 23
41% 59%
13 30
30% 70%
2.5
26 13
67% 33%
18 25
42% 58%
3.5
34 5
87% 13%
34 9
79% 21%
Meciuri Gol-Gol (Da/Nu)
18 21
46% 54%
25 18
58% 42%
Nici un gol nu este permis (Da/Nu)
20 19
51% 49%
12 31
28% 72%
Marcat un gol (Da/Nu)
32 7
82% 18%
34 9
79% 21%
Meciuri urmatoare
CSD Municipal
Uşor 1
5 Greu
Antigua GFC
CSD Municipal Meciuri urmatoare
26/03
2017
Gt1
4
02/04
2017
Gt1
4
09/04
2017
Gt1
1
Antigua GFC Meciuri urmatoare
26/03
2017
Gt1
4
29/03
2017
Gt1
2
02/04
2017
Gt1
 
08/04
2017
Gt1
4
13/04
2017
Gt1
5
23/04
2017
Gt1
1

Video Highlight